EditPlus中的正则表达式实战(6)

发布时间:2019-08-06 发布网站:脚本宝典
脚本宝典收集整理的这篇文章主要介绍了EditPlus中的正则表达式实战(6)脚本宝典觉得挺不错的,现在分享给大家,也给大家做个参考。

废话不多说了,看下面代码吧。

 fbkh, jiancharq, shoujianyz, shoujianyt, mociyj, yuchanqi, yinDAOfm, pougongc,  yunci, chanci, zhiye, minzu, minzumc, wenhuacd, xianbings, xianbingsxs, jiwangs,  jiwangsxs, jiwangsxsmc, sfyunfujzs, yichuanxjbs, yichuanxjbsxs, jingshenBBS,  jingshenbbsxs, zhizhang, zhizhangcd, zhizhangxs, jixing, jixingxs,  yunfuqtjzs_rad, yunfuqtjzs, zfyichuanxjbs, zfyichuanxjbsxs, zfjingshenbbs,  zfjingshenbbsxs, zfzhizhang, zfzhizhangcd, zfzhizhangxs, zfjixing, zfjixingxs,  zfqtjzs_rad, zfqtjzs, gerens, xiyan, xiyanxs, yinjiu, yinjiuxs, fuyongyw,  fuyongywxs, yaowugms, yaowugmsxs, jiechuydyhwz, jiechuydyhwzxs, jiechufsx,  jiechufsxxs, waishangs, waishangsxs, qITagrs_rad, qitagrs, shoushus,  fukeshoushus, fukeshoushusxs, qitashoushus, qitashoushusxs, chuchaonl,  zhouqiomix, zhouqiomax, jingqi, jingqimax, sfyjsandbys, biyuns, biyunsxs,  yunchanshi, bcrszyycqk, bcrszyycqkxs, bcrszyycqkxsmc, bchyfs, sfywtgjc, shengao,  tizhong, tizhongzs, jichuszy, jichussy, xinlv, xinl, xinzangzy, xinzangzyxs,  xin, xinxs, fei, feixs, qitayc, qitaycxs, fukejc, waiyin, waiyinxs, yindaoy,  yindaoyxs, gongjingy, gongjingyxs, zigongjl, zigongjlxs, fujianzw, fujianzwxs,  sfywpgwcl, qiajijj_one, qiajijj_two, dichiwj, chukouhj, sfywfzjc, xuehonGDB,  baoxibjs, xiexiaobjs, xuechangguiqt, niaodanbpj, niaotang, niaotongt, niaoqianx,  niaobaixq, niaochuangguiqt, aboxuexing, rhxuexing, xuetangpj, xuetangzhi, xuetz,  xtangz, xueqinggbzam, xueqinggczam, baidb, zongdanhs, jiehehs, xueqingjq,  xueniaosd, yindaofmw, yindaofmwxs, yindaoqjd, shayanyyt, linqiejjc, yiganky,  yixgybmkt, yixgyeky, yixgyekt, yixgyhxkt, meidujc, meidu, hiv, ygbmkydx,  fmeidujc, fmeidu, didu, bchao, xindiantu, jiazhuangxiangn, tsh, ft3, tt3, ft4,  tt4, atg, atpo, gongjingtlxbjc, qitajianchasx, gaoweiys, gaoweibm, gaoweixx,  qtgaoweiys, zongtipg, zongtipgxs, baojianzd, chulizd, youwuzhuanzhen,  zhuanzhenyuanyin, zhuanzhenjjbh, zhuanzhenjj, zhuanzhenks, yuyuerq, lurur,  jianchadwbm, jianchadw, tianbiaorq, tianbiaor, fuwujgbh,

如上代码要编写成如下格式:

 +"fbkh, jiancharq, shoujianyz, shoujianyt, mociyj, yuchanqi, yindaofm, pougongc,"  +"yunci, chanci, zhiye, minzu, minzumc, wenhuacd, xianbings, xianbingsxs, jiwangs,"  +"jiwangsxs, jiwangsxsmc, sfyunfujzs, yichuanxjbs, yichuanxjbsxs, jingshenbbs,"  +"jingshenbbsxs, zhizhang, zhizhangcd, zhizhangxs, jixing, jixingxs,"  +"yunfuqtjzs_rad, yunfuqtjzs, zfyichuanxjbs, zfyichuanxjbsxs, zfjingshenbbs,"  +"zfjingshenbbsxs, zfzhizhang, zfzhizhangcd, zfzhizhangxs, zfjixing, zfjixingxs,"  +"zfqtjzs_rad, zfqtjzs, gerens, xiyan, xiyanxs, yinjiu, yinjiuxs, fuyongyw,"  +"fuyongywxs, yaowugms, yaowugmsxs, jiechuydyhwz, jiechuydyhwzxs, jiechufsx,"  +"jiechufsxxs, waishangs, waishangsxs, qitagrs_rad, qitagrs, shoushus,"  +"fukeshoushus, fukeshoushusxs, qitashoushus, qitashoushusxs, chuchaonl,"  +"zhouqiomix, zhouqiomax, jingqi, jingqimax, sfyjsandbys, biyuns, biyunsxs,"  +"yunchanshi, bcrszyycqk, bcrszyycqkxs, bcrszyycqkxsmc, bchyfs, sfywtgjc, shengao,"  +"tizhong, tizhongzs, jichuszy, jichussy, xinlv, xinl, xinzangzy, xinzangzyxs,"  +"xin, xinxs, fei, feixs, qitayc, qitaycxs, fukejc, waiyin, waiyinxs, yindaoy,"  +"yindaoyxs, gongjingy, gongjingyxs, zigongjl, zigongjlxs, fujianzw, fujianzwxs,"  +"sfywpgwcl, qiajijj_one, qiajijj_two, dichiwj, chukouhj, sfywfzjc, xuehongdb,"  +"baoxibjs, xiexiaobjs, xuechangguiqt, niaodanbpj, niaotang, niaotongt, niaoqianx,"  +"niaobaixq, niaochuangguiqt, aboxuexing, rhxuexing, xuetangpj, xuetangzhi, xuetz,"  +"xtangz, xueqinggbzam, xueqinggczam, baidb, zongdanhs, jiehehs, xueqingjq,"  +"xueniaosd, yindaofmw, yindaofmwxs, yindaoqjd, shayanyyt, linqiejjc, yiganky,"  +"yixgybmkt, yixgyeky, yixgyekt, yixgyhxkt, meidujc, meidu, hiv, ygbmkydx,"  +"fmeidujc, fmeidu, didu, bchao, xindiantu, jiazhuangxiangn, tsh, ft3, tt3, ft4,"  +"tt4, atg, atpo, gongjingtlxbjc, qitajianchasx, gaoweiys, gaoweibm, gaoweixx,"  +"qtgaoweiys, zongtipg, zongtipgxs, baojianzd, chulizd, youwuzhuanzhen,"  +"zhuanzhenyuanyin, zhuanzhenjjbh, zhuanzhenjj, zhuanzhenks, yuyuerq, lurur,"  +"jianchadwbm, jianchadw, tianbiaorq, tianbiaor, fuwujgbh,"

查找项输入: ^([a-z0-9].*[a-z0-9],)

替换项输入: +”/1”

语义分析:

^行首
()分组 代表 /1
[a-z0-9]开头
[a-z0-9],结尾

. 点 匹配除“/r/n”之外的任何单个字符。要匹配包括“/r/n”在内的任何字符,请使用像“[/s/S]”的模式。

* 匹配前面的子表达式任意次。例如,zo*能匹配“z”,也能匹配“zo”以及“zoo”

连起来的意思就是[a-z0-9]开头[a-z0-9],结尾中间任何单词的长度的一行可以匹配上.

脚本宝典总结

以上是脚本宝典为你收集整理的EditPlus中的正则表达式实战(6)全部内容,希望文章能够帮你解决EditPlus中的正则表达式实战(6)所遇到的问题。

如果觉得脚本宝典网站内容还不错,欢迎将脚本宝典推荐好友。

本图文内容来源于网友网络收集整理提供,作为学习参考使用,版权属于原作者。
如您有任何意见或建议可联系处理。小编QQ:384754419,请注明来意。
标签:div